CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 正弦

搜索资源列表

  1. rom

    0下载:
  2. 用VHDL编写一个具有正弦波形产生功能的rom.-Written with VHDL generate a sine function of rom.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:434675
    • 提供者:王一
  1. helpfpga

    0下载:
  2. VHDL语言 用FPGA实现DDS数字频率合成器 包括正弦波和方波-FPGA implementation using VHDL, DDS digital frequency synthesizer, including sine and square wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:436488
    • 提供者:枫树林
  1. ddsgt

    0下载:
  2. 采用DDS技术,在Altera 8.1软件下,利用VHDL语言编程,从而产生正弦波信号,经调试,文件正确可用-Using DDS technology, Altera 8.1 software, using the VHDL language programming, resulting in sine wave signal, after debugging, documentation is available right
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:241926
    • 提供者:郭岩伟
  1. renyiboxing

    0下载:
  2. 信号发生器是一种常用的仪器,能够实现各种波形,不同频率的输出,电子测试系统的重要部件。本研究 的数字信号发生器足基于直接数字合成即DDS技术设计的,采用VHDL与C语言相结合的方法,通过查找存储 于ROM查找表中的各种标准波形数据,产牛频率Hf调并且高精度的正弦波、方波、锯齿波等常用信号,并且町 以通过修改表中的数据,实现任意信号发生器-Signal generator is a commonly used instrument to achieve a variety of wav
  3. 所属分类:File Formats

    • 发布日期:2017-04-02
    • 文件大小:268299
    • 提供者:姚木
  1. boxing

    0下载:
  2. 基于FPGA的方波,正弦波,三角波,锯齿波的vhdl语言,调试成功-FPGA based square wave sine wave, triangle wave, saw the vhdl language, debugging success
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:2058
    • 提供者:张启富
  1. rom

    0下载:
  2. 64采样点的正弦表存储区。外接地址可以输出正弦信号采样点经过二进制补码转换后的幅度值。-sine table by 64 samples in VHDL.
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:222485
    • 提供者:nancy
  1. sin

    0下载:
  2. sin正弦波的产生 DDS FPGA VHDL语言-sin sine wave generation DDS FPGA VHDL language
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:1732025
    • 提供者:王盛力
  1. dds_vhdl

    0下载:
  2. 该源码为VHDL语言编写DDS生产正弦波信号源码-The DDS source for the VHDL language production of sine wave signal source
  3. 所属分类:source in ebook

    • 发布日期:2017-03-29
    • 文件大小:372206
    • 提供者:zhouchao
  1. DDS_100325(13)_success

    0下载:
  2. QUARTUS II环境下VHDL语言编写DDS程序,双数字信号输出,一为正弦波幅值输出,一正弦波差值信号。时钟2^21HZ,带24bits频率控制字。-QUARTUS II environment, VHDL language DDS program, two digital signal output, an amplitude for the sine wave output, a sine wave difference signal. Clock 2 ^ 21HZ, with 24bi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1087912
    • 提供者:骆东君
  1. sin

    0下载:
  2. 正弦波发生器。用VHDL语言实现。基本功能。-sinusoid generator
  3. 所属分类:Wavelet

    • 发布日期:2017-04-10
    • 文件大小:653
    • 提供者:苏瑞君
  1. tlc5620_out_sin

    1下载:
  2. 用FPGA操纵TLC5620DA转换器,用VHDL语言编写,调试通过,并输出正弦波。-Manipulation TLC5620DA converter with FPGA using VHDL language, debugging through, and the output sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3899
    • 提供者:wangnan
  1. zhengxianbo

    0下载:
  2. 正弦波发生器,用VHDL实验,使用地址发生器和lpm_rom完成。-Sine wave generator, experiment with VHDL, use the address generator and lpm_rom completed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1127902
    • 提供者:liuxing
  1. sin_gene

    0下载:
  2. 读取mif方式,产生正弦信号的vhdl程序-Read mif way to generate sine signal vhdl program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2912
    • 提供者:张伯伦
  1. ISE_lab18

    0下载:
  2. 基于VHDL语言,通过调用Xlinx生产的FPGA开发板上的DDS核,产生正弦信号。并可进行仿真观察。-Based on VHDL language, by calling Xlinx FPGA development board produced by the nuclear DDS, sine signal. The simulation can be observed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4895980
    • 提供者:大机子
  1. boxingfasheng

    0下载:
  2. 三角波、正弦波、余弦波、方波的产生VHDL代码程序,可以根据自己的需要得到相应的波形。-Triangular wave, sine wave, cosine wave, square wave generated VHDL code program, according to their own needs the corresponding waveform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:467734
    • 提供者:yangxiao
  1. zhengxuanbo

    0下载:
  2. 产生正弦波的vhdl代码,输出显示波形标准,没有明显的波形失真。-Vhdl code for sine wave generation, the output waveform standards, no significant waveform distortion.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:555010
    • 提供者:yangxiao
  1. vhdl2

    0下载:
  2. vhdl语言正弦信号发生器设计,传统的用分立元件或通用数字电路元件设计电子线路的方法设计周期长,花费大, 可移植性差。本文以正弦波发生器为例,利用EDA 技术设计电路,侧重叙述了用VHDL 来完 成直接数字合成器(DDS) 的设计,DDS 由相位累加器和正弦ROM 查找表两个功能块组成,其 中ROM查找表由兆功能模块LPM-ROM来实现。-The traditional use of discrete components or general purpose digital cir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:94354
    • 提供者:枫蓝
  1. sinbo

    0下载:
  2. 基于quartus,用VHDL写的正弦波发生器-Based quartus, written in sine wave generator with VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:996110
    • 提供者:郑生
  1. dds

    0下载:
  2. 基于VHDL语言,主要用于实现正弦波发生器-Based on VHDL language, mainly for the realization of sine wave generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1908
    • 提供者:碗豆
  1. jibenrenwu1

    0下载:
  2. 一个用vhdl语言写的简单输出正弦波的程序,适用于初学者-Vhdl language used to write a simple sine wave output of the program, for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1068035
    • 提供者:alice
« 1 2 ... 4 5 6 7 8 910 11 12 13 »
搜珍网 www.dssz.com